Научная литература
booksshare.net -> Добавить материал -> Физика -> Александров Е.К. -> "Микропроцессорные системы" -> 495

Микропроцессорные системы - Александров Е.К.

Александров Е.К., Грушвицкий Р.И., Купрянов М.С., Мартынов О.Е. Микропроцессорные системы — Спб.: Политехника, 2002. — 935 c.
ISBN 5-7325-0516-4
Скачать (прямая ссылка): mikroprocessorniesistemi2002.djvu
Предыдущая << 1 .. 489 490 491 492 493 494 < 495 > 496 497 498 499 500 501 .. 528 >> Следующая

возможности его переноса на ранние этапы разработки, т. е. до того
момента, когда будет закончено изготовление конечного продукта, известны
- это прототипные системы и средства проведения экспериментов с ними.
Прототипные платы широко использовались и ранее, в частности, при
создании микропроцессорных систем. Аналогична и ситуация при разработке
систем и устройств на основе средств программируемой логики. Широкий
спектр прототипных плат, содержащих микросхемы программируемой логики и
дополнительную аппаратуру (прежде всего микросхемы быстродействующих
ОЗУ), выпускается и поставляется различными отечественными и зарубежными
фирмами. Здесь можно указать средства фирм "Altera" (Demo Board); "PLD
Applications" (платы PCI Bus Evaluation Board); "Xilinx", "Virtual
Computer Corp.", "Video Software" (платы HOT PCI Design Kit) и др.
8.10. БАЗОВЫЕ СВЕДЕНИЯ О ЯЗЫКЕ VHDL
8.10.1. ИСТОРИЧЕСКИЙ ОБЗОР И ПРОБЛЕМНАЯ ОРИЕНТАЦИЯ ЯЗЫКА
Остановимся на отдельных вопросах, относящихся к наиболее известному
языку проектирования аппаратных средств VHDL (Very high speed integrated
circuits Hardware Description Language). Изложенный ниже материал не
претендует на роль учебника по
871
ПРОЕКТИРОВАНИЕ МПС
программированию на языке VHDL. Задача состоит скорее в ознакомлении со
специфическими особенностями языка, позволяющими создавать описания
проектов, отвечающих заданной структуре или поведению и синтезируемых в
состав аппаратуры. Некоторые конструкции языка будут использованы далее
при рассмотрении примера проектирования подсистемы МПС на основе БИС/СБИС
средствами САПР.
Язык VHDL появился в начале 1980-х гг. по запросам организаций
Министерства обороны США. Первая его версия, предназначенная в основном
для унификации описаний проектов в различных ведомствах, была принята в
1985 г. В 1987 г. язык VHDL был принят международным институтом IEEE
(Institute of Electrical and Electronic Engineers) как стандарт ANSI/IEEE
Std1076-1987, обычно называемый стандартом VHDL-87. Он использовался
главным образом для описания уже спроектированных систем. Работа с
компиляторами (т.е. использование для задач синтеза устройств) началась с
1991 г. В 1993 г. IEEE принимает новый расширенный стандарт ANSI/IEEE
Std1076-1993 VHDL-93 (синтаксис языка приведен в приложении). В книге
"VHDL'92. Новые свойства языка описания аппаратуры VHDL". - М.: Радио и
связь, 1995, можно найти подробное описание нового стандарта и его
основных отличий от стандарта VHDL-87.
Язык может быть использован для проектирования цифровых систем разных
иерархических уровней - от вентильного уровня представления схем до
уровня системы в целом. В настоящее время он является, видимо, самым
популярным среди проектировщиков цифровой аппаратуры. Как отмечалось
выше, сравнимым по возможностям и по популярности является язык Verilog,
и практически любая современная САПР средств ВТ или цифровых устройств
имеет в своем составе компиляторы для этих языков.
Проблемная ориентация и основные прикладные аспекты языка VHDL связаны с
его использованием в качестве рабочего инструмента для задач описания
структуры и/или поведения широкого класса цифровых устройств. Описания
могут использоваться для синтеза и/или моделирования таких систем.
Наибольшие ограничения на набор допустимых (относительно стандарта)
операторов языка имеют компиляторы для синтеза спроектированных
устройств, значительно меньше ограничений существует у систем
моделирования. Важнейшей особенностью языка является возможность
использования при создании проектов понятий и подходов, характерных для
различных типов концептуальных моделей. Проекты могут содержать описания
объектов в терминах параллельных процессов, в терминах межрегистровых
передач, в терминах конечных автоматов или описываться как структуры. При
описании систем сточки зрения их структурной организации, для однозначной
трактовки поведения систем на нижних уровнях иерархии поведение
составляющих его модулей должно быть однозначно определено.
8.10.2. БАЗОВЫЕ ПОНЯТИЯ ЯЗЫКА И АРХИТЕКТУРА ПРОГРАММ
Основные понятия языка, синтаксические конструкции и типы данных содержат
две составляющие - общеалгоритмическую (свойственную большинству обычных
алгоритмических языков) и проблемно-ориентированную.
Общеалгоритмическая составляющая языка достаточно традиционна и содержит
как традиционные операторы действия (присвоения, условия, выбора, цикла и
вызова процедуры), так и традиционные типы данных: числовые, логические,
символьные, перечислительные и агрегатированные (массивы, записи и
файлы). Синтаксис рассмотрен несколько позже.
С точки зрения структурной организации программы, на языке VHDL
используют следующие основные термины и понятия. Любой проект образуется
из одного или нескольких объектов - Entity. Каждый объект проекта имеет
объявление интерфейса объекта - Entity Declaration и описание
архитектурного тела объекта - Architecture body. Entity Declaration
Предыдущая << 1 .. 489 490 491 492 493 494 < 495 > 496 497 498 499 500 501 .. 528 >> Следующая

Реклама

c1c0fc952cf0704ad12d6af2ad3bf47e03017fed

Есть, чем поделиться? Отправьте
материал
нам
Авторские права © 2009 BooksShare.
Все права защищены.
Rambler's Top100

c1c0fc952cf0704ad12d6af2ad3bf47e03017fed